From 7d97c1424a7b39ccdf37128d66fb6632baf8f523 Mon Sep 17 00:00:00 2001 From: Gerhard Sittig Date: Mon, 9 Nov 2020 05:56:32 +0100 Subject: parallel: also expect bits count in addition to data value Catch up with the parallel decoder's implementation. Documented Python output includes the bus width and cycle count for items and words. [ see a word-diff for the commit's essence ] --- .../test/parallel/incremental_8ch_short_noclock.python | 18 +++++++++--------- 1 file changed, 9 insertions(+), 9 deletions(-) (limited to 'decoder/test/parallel/incremental_8ch_short_noclock.python') diff --git a/decoder/test/parallel/incremental_8ch_short_noclock.python b/decoder/test/parallel/incremental_8ch_short_noclock.python index 5eb3e5a..9168121 100644 --- a/decoder/test/parallel/incremental_8ch_short_noclock.python +++ b/decoder/test/parallel/incremental_8ch_short_noclock.python @@ -1,9 +1,9 @@ -0-1 parallel: ['ITEM', 0] -1-2 parallel: ['ITEM', 1] -2-3 parallel: ['ITEM', 2] -3-4 parallel: ['ITEM', 3] -4-5 parallel: ['ITEM', 4] -5-6 parallel: ['ITEM', 5] -6-7 parallel: ['ITEM', 6] -7-8 parallel: ['ITEM', 7] -8-9 parallel: ['ITEM', 8] +0-1 parallel: ['ITEM', (0, 8)] +1-2 parallel: ['ITEM', (1, 8)] +2-3 parallel: ['ITEM', (2, 8)] +3-4 parallel: ['ITEM', (3, 8)] +4-5 parallel: ['ITEM', (4, 8)] +5-6 parallel: ['ITEM', (5, 8)] +6-7 parallel: ['ITEM', (6, 8)] +7-8 parallel: ['ITEM', (7, 8)] +8-9 parallel: ['ITEM', (8, 8)] -- cgit v1.2.3-54-g00ecf