From 6b7b93490c7eae859f06b028c629d364075a2016 Mon Sep 17 00:00:00 2001 From: Uwe Hermann Date: Wed, 22 Jul 2015 08:24:05 +0200 Subject: parallel: Add a few test-cases. --- decoder/test/parallel/incremental_8ch_short_clock.output | 4 ++++ 1 file changed, 4 insertions(+) create mode 100644 decoder/test/parallel/incremental_8ch_short_clock.output (limited to 'decoder/test/parallel/incremental_8ch_short_clock.output') diff --git a/decoder/test/parallel/incremental_8ch_short_clock.output b/decoder/test/parallel/incremental_8ch_short_clock.output new file mode 100644 index 0000000..0623287 --- /dev/null +++ b/decoder/test/parallel/incremental_8ch_short_clock.output @@ -0,0 +1,4 @@ +1-3 parallel: items: "1" +3-5 parallel: items: "3" +5-7 parallel: items: "5" +7-9 parallel: items: "7" -- cgit v1.2.3-54-g00ecf