summaryrefslogtreecommitdiff
path: root/decoder/test/jitter
diff options
context:
space:
mode:
authorUwe Hermann <uwe@hermann-uwe.de>2017-06-06 00:09:45 +0200
committerUwe Hermann <uwe@hermann-uwe.de>2017-06-06 01:43:44 +0200
commitb59c504ecda1693417932878a8c92bab80f9635c (patch)
tree4d8b32914a123d4590bf16216a16845b2c2c5e9c /decoder/test/jitter
parent954e04d9f9f1d68644d7d8b4e5d13adfd05c1a3a (diff)
downloadsigrok-test-b59c504ecda1693417932878a8c92bab80f9635c.tar.gz
sigrok-test-b59c504ecda1693417932878a8c92bab80f9635c.zip
Add support for initial pin settings.
Adapt all tests that require it to use the old behaviour of assuming an initial pin value of 0 (or whatever was previously hardcoded in the PD). for now (this will likely see some changes later).
Diffstat (limited to 'decoder/test/jitter')
-rw-r--r--decoder/test/jitter/test.conf2
1 files changed, 1 insertions, 1 deletions
diff --git a/decoder/test/jitter/test.conf b/decoder/test/jitter/test.conf
index 102938d..e61cb62 100644
--- a/decoder/test/jitter/test.conf
+++ b/decoder/test/jitter/test.conf
@@ -1,5 +1,5 @@
test toim4243
- protocol-decoder jitter channel clk=3 channel sig=2 option clk_polarity=falling option sig_polarity=falling
+ protocol-decoder jitter channel clk=3 channel sig=2 option clk_polarity=falling option sig_polarity=falling initial_pin clk=0
input uart/toim4243/toim4243_10byte_send_snippet.sr
output jitter annotation match toim4243.output
output jitter binary class ascii-float match toim4243.bin_ascii_float